`include "common.svh" module ttarv32_tb; function automatic rv_t[3:0] unp2p(logic[7:0] in[4*4]); logic [15:0][7:0] conversion; for (int i = 0; i < 4 * 4; ++i) begin conversion[i] = in[i]; end return conversion; endfunction xlen_t pc; logic[7:0] rv[4*4]; logic clk; logic rst_n; ttarv32 #( .SLOT_COUNT(4), .SLOT_DEPTH(3), .ALU_COUNT(2) ) ttarv32 ( .clk_i(clk), .rst_ni(rst_n), .rv_i(unp2p(rv)), .pc_o(pc) ); initial begin $dumpfile("ttarv32_tb.vcd"); $dumpvars(); $readmemh("ttarv32.hex", rv); clk = 0; rst_n = 0; #10 rst_n = 1; clk = 1; #10 $finish; end endmodule